Прямые цифровые синтезаторы частоты (DDS)


 

Прямые цифровые синтезаторы частоты (DDS) появились на рынке изделий микроэлектроники в начале 90-х годов, и с тех пор их популярность неизменно возрастает. Это объясняется их уникальными свойствами, недоступными обычным синтезаторам частот косвенного синтеза. Диапазон перестройки такого синтезатора может быть непрерывным от долей Гц до десятков МГц, при этом точность установки частоты и шаг перестройки составляет сотые доли Гц, а скорость перестройки частоты измеряется наносекундами (и при этом нет разрыва фазы). Многие из имеющихся синтезаторов способны формировать квадратурные сигналы с высочайшей точностью сдвига фазы между ними во всем рабочем диапазоне, а также обеспечивают цифровую частотную и фазовую манипуляцию (возможен и линейный переход к следующему значению частоты или фазы), а также цифровую квадратурную амплитудную модуляцию.

Вместе с тем, таким синтезаторам свойственны все недостатки цифровых устройств обработки сигналов: шум квантования, наложение спектров цифрового сигнала, ограниченная верхняя рабочая частота и пр.

 

Рис.7.5.1.

 

Рассмотрим работу структуры DDS, показанную на рис.7.5.1. Такая структура (за исключением ЦАП) называется генератором с цифровым управлением (NCO). Выпускаются и микросхемы NCO, в состав которых не входит, по сравнению с DDS, только ЦАП.

Сердцем такой структуры является фазовый аккумулятор, в котором сумматор фазы каждый такт задающего генератора прибавляет к текущему значению фазы число, записанное в регистре приращения фазы. Полученное новое значение фазы сохраняется в регистре текущего значения фазы. Таким образом, фаза постоянно линейно возрастает. Разрядность аккумулятора фазы велика (например, 32 разряда), но конечна, поэтому периодически происходят его переполнения, в результате которых цифровое значение фазы обнуляется, как показано на рис.7.5.2. Текущее значение фазы из регистра подается к перекодировочной таблице синусов, которая представляет из себя обыкновенное ПЗУ. В таком ПЗУ значения фазы являются адресами ячеек, где записаны соответствующие каждой фазе мгновенные значения синусоиды. В результате на выходе перекодировочной таблицы появляются цифровые отсчеты мгновенных значений синусоиды, которые быстродействующий ЦАП превращает в колебание тока синусоидальной формы. Разумеется, на выходе такого ЦАП устанавливают аналоговый «реконструкционный» фильтр, который выделяет синусоидальное колебание нужной частоты (основной частоты или одного из высокочастотных «образов»).

 

Рис.7.5.2.

 

Пусть в регистре приращения фазы записано число М, разрядность аккумулятора фазы равна n, а частота задающего генератора Fт. При этом очевидна формула, определяющая выходную частоту синтезатора:

 

Fвых = (М*Fт) / 2n.

 

Итак, шаг по частоте здесь равен Fт / 2n , что при n = 32 и тактовой частоте 50 МГц приводит к значению шага 0.01 Гц.

Наивысшая синтезируемая частота DDS обычно бывает равна 0.4Fт. Это обусловлено требованиями к фильтрации побочных продуктов синтеза («образов» сигнала). Для того, чтобы сменить рабочую частоту, необходимо только записать новое значение приращения фазы (оно имеет смысл кода частоты) в регистр приращения. Смена частоты произойдет с нового отсчета без разрыва фазы.

Необходимо отметить, что для адресации перекодировочной таблицы используются не все разряды аккумулятора фазы, а только часть старших (например, 12). Это не ведет к существенному ухудшению точности синтеза синусоиды, но уменьшает емкость перекодировочной таблицы. Разрядность кода фазы должна быть на 2-3 разряда больше разрядности ЦАП, т.к. дальнейшее увеличение разрядности не приводит к снижению шумов из-за эффекта квантования ЦАП. Разрядность ЦАП в основном и определяет уровень побочных составляющих выходного сигнала DDS (см. для примера на рис.7.5.3 спектр сигнала DDS AD9830, снятый при частоте тактового сигнала ЦАП 50 МГц, частоте выходного сигнала 16,5 МГц). Для современных DDS типичны следующие значения SFDR:

- 50 дБ относительно несущей для 8-разрядного ЦАП,

- 60 дБ относительно несущей для 10-разрядного ЦАП,

- 70 дБ относительно несущей для 12-разрядного ЦАП.

Для уменьшения отдельных паразитных частотных составляющих можно их «размыть», добавив к фазовой информации псевдослучайный сигнал (шумовой – “dither”). При этом, конечно, общий шум возрастает, а его отдельные компоненты понижаются.

 

Рис.7.5.3.

 

Одной из основных причин, приводящих к возникновению дополнительных паразитных составляющих в спектре выходного колебания ЦАП, является так называемая дробность заполнения аккумулятора фазы, выражающаяся в том, что объем аккумулятора фазы 2n в ряде случаев не является числом, кратным коду частоты (приращению фазы М). Если отношение 2n / М не является целым числом, то в конце каждого цикла заполнения аккумулятора фазы образуется остаток, который прибавляется к начальному коду фазы следующего периода синусоиды; в свою очередь, следующий период начнется с другого кода фазы, не равного начальному коду фазы предыдущего периода. Таким образом, каждый следующий период такой «квазисинусоиды» будет отличаться по форме колебания от предыдущего, что приведет к потере периодичности, искажению формы колебания и, в конечном итоге, появлению паразитных составляющих в спектре выходного колебания (рис.7.5.4). Следовательно, для повышения качества выходного сигнала DDS нужно (при возможности) подбирать такое значение тактовой частоты и частоты выходного колебания, которые соотносятся как целое число: при этом отношение 2n / М также будет целым (рис.7.5.5).

О том, насколько важно решать (при возможности) проблему дробности заполнения аккумулятора фазы, можно судить по упоминавшимся выше рис.7.5.4 и рис.7.5.5, полученным в результате моделирования работы DDS с десятиразрядным ЦАП при тактовой частоте 20 МГц и частотах синтезируемого сигнала, соответственно, 4,1 МГц и 4 МГц. В первом случае четко видна квазипериодичность прямоугольного колебания, приближающего синусоиду (для наглядности «приближаемая» идеальная синусоида показана на одной системе координат с прямоугольным колебанием), а SFDR = 114 дБ-40 дБ = 74 дБ. Во втором случае выходное прямоугольное колебание ЦАП в целом периодично, и достигается значение SFDR = 115дБ – 28 дБ =87 дБ.

 

Рис. 7.5.4

 

Рис. 7.5.5

 

В качестве пояснения рассуждений о рациональном выборе тактовой и выходной частот DDS рассмотрим следующий пример. Пусть требуется создать QPSK-модулированный сигнал с несущей частотой 2830 кГц и точностью установки частоты ±100 Гц. Выберем синтезатор AD9852, имеющий на кристалле внутреннюю схему ФАПЧ для умножения тактовой частоты в 4…20 раз, а также все необходимые для такой модуляции средства (о них речь пойдет ниже).

В качестве первого варианта используем тактовую частоту 100 МГц (например, стандартный кварцевый генератор 10 МГц и внутреннее умножение тактовой частоты в 10 раз). Тогда отношение

 

2n / М = FТ / Fвых = 100 МГц / 2,83 МГц = 35,335689…,

 

т.е. не будет целым, что приведет к ухудшению качества синтезируемого сигнала.

Второй и более удачный вариант: выбираем кварцевый резонатор внешнего тактового генератора с частотой 4,9152 МГц (стандартная частота, такие резонаторы выпускаются промышленностью), а коэффициент внутреннего умножения тактовой частоты задаем равным 19. Получаем тактовую частоту DDS FТ = 93,3888 МГц. Если теперь запрограммировать синтезатор так, чтобы FТ / Fвых = 33, т.е. было целым числом, то частота синтезированного сигнала окажется равной Fвых » 2829,964 кГц, что отличается от требуемого значения всего на 36 Гц. При этом спектр полученного сигнала будет значительно более «чистым».

В случае необходимости получить точное значение выходной частоты Fвых = 2830000 Гц с сохранением отношения FТ / Fвых = 33 можно подстроить частоту тактирующего кварцевого генератора до значения 4915263,16 Гц, т.е. сдвинуть ее на 63,16 Гц вверх относительно номинального значения, что вполне возможно.

Структурная схема одного из самых простых DDS AD9830 показана на рис.7.5.6. От типовой структуры DDS, рассмотренной нами выше, он отличается наличием встроенных средств для частотной и фазовой манипуляции. Чтобы упростить двухпозиционную частотную манипуляцию (2-FSK), введен еще один регистр частоты, а выбор синтезируемой частоты осуществляется сменой бита на выводе FSELECT синтезатора или программно – установкой одноименного бита. Для осуществления четырехпозиционной фазовой манипуляции введены 4 регистра сдвига фазы и дополнительный сумматор сдвига фазы, который прибавляет значение сдвига фазы, записанное в одном из регистров, к выходному коду аккумулятора фазы. Выбор конкретного регистра осуществляется сменой битов на двух выводах синтезатора PSEL0, PSEL1 или программно. Этот DDS работает с максимальной тактовой частотой 50 МГц, имеет только параллельную загрузку данных, потребляет 250 мВт от источника +5 В. Его свободный от паразитных составляющих динамический диапазон SFDR в полосе ±50 кГц относительно несущей не хуже 72 дБ.

 

Рис.7.5.6.

 

Приведем список некоторых (не всех) дополнительных возможностей современных DDS (AD983x, AD985x и др.).

· Встроенный умножитель тактовой частоты с ФАПЧ.

· Дополнительный сумматор для сдвига фазы.

· Дополнительные регистры для частотной манипуляции.

· Средства для осуществления сглаженной частотной манипуляции с программируемой скоростью перестройки частоты (Ramped FSK).

· Дополнительные цифровые квадратурные амплитудные модуляторы для синтеза с амплитудно-фазовыми видами модуляции (AM, N-QAM, SSB и др., рис.7.5.7).

· Выходы квадратурных ВЧ сигналов, необходимые для дальнейшего повышения рабочей частоты с помощью квадратурного аналогового перемножителя, как это описано в параграфе 8.2 (см. рис.8.2.3, 8.2.6).

· Фильтры предкоррекции x / sin(x) для компенсации частотного ската АЧХ ЦАП, аналогичные показанным на рис.7.5.8.

· Дополнительный компаратор в системе умножения частоты опорного сигнала для уменьшения его фазовых шумов («jitter»).

· Последовательный и параллельный регистры загрузки данных.

 

Рис.7.5.7.

 

В качестве примера приведем структурную схему DDS AD7008, рис.7.5.7, имеющую встроенные средства квадратурной цифровой амплитудной модуляции (с цифровыми перемножителями квадратурных сигналов) и цифровое объединение квадратур перед подачей их на ЦАП. В принципе, на выходе такого DDS возможно получить сигнал с произвольным узкополосным видом модуляции, ограничение будет связано только со скоростью загрузки данных в регистр IQMOD через параллельный интерфейс синтезатора.

Практически все указанные выше возможности реализованы в синтезаторе AD9854, структурная схема которого приведена на рис. 7.5.8. От синтезатора AD7008 он принципиально отличается тем, что квадратурные каналы не объединяются в ИМС, а выводятся «наружу» отдельно в аналоговом виде, что дает возможность повышения рабочей частоты передатчика до СВЧ с использованием аналогового квадратурного модулятора (см. параграф 8.2 и рис. 8.2.6).

В заключение отметим, что техника прямого цифрового синтеза развивается, и в настоящее время уже доступны DDS с тактовой частотой ЦАП выше 1 ГГц. Разработаны схемы прямых цифровых синтезаторов частоты, в которых для повышения быстродействия решено отказаться от ЦАП с соответствующей потерей гармонической формы выходного колебания ИМС синтезатора, т.е. обязательно требуется высококачественный реконструирующий фильтр на выходе такой ИМС.

Весьма перспективными являются схемы синтезаторов частоты, в которых используется комбинация косвенного (на основе ФАПЧ) и прямого цифрового синтеза частоты. Такие комбинированные схемы позволяют добиться сочетания преимуществ и компенсации недостатков двух типов синтезаторов частоты. Так, DDS может использоваться в синтезаторе с ФАПЧ в качестве перестраиваемого опорного делителя частоты или в качестве ДПКД. В свою очередь, петля ФАПЧ используется в DDS (например, DDS серии AD985x) в качестве умножителя тактовой частоты с программируемым коэффициентом умножения.

 

 



Дата добавления: 2021-01-11; просмотров: 389;


Поиск по сайту:

Воспользовавшись поиском можно найти нужную информацию на сайте.

Поделитесь с друзьями:

Считаете данную информацию полезной, тогда расскажите друзьям в соц. сетях.
Poznayka.org - Познайка.Орг - 2016-2024 год. Материал предоставляется для ознакомительных и учебных целей.
Генерация страницы за: 0.013 сек.