Загальна характеристика тригерних схем


Елементарні автомати з пам'яттю. Тригери і тригерні схеми

До цього часу ми розглядали цифрові схеми, у яких мав місце односторонній зв’язок від входу до виходу.

Розглянемо тепер одну з найпростіших схем, в якій є зворотній зв’язок з виходу на вхід (рис. 4.1).

 

 

Приведена схема навіть за зовнішнім виглядом має деякі особливості:

· по-перше, ми не можемо говорити про один вихід, так як елементи об’єднані в кільце і виходів буде стільки, скільки елементів;

· по-друге, задавши традиційно повний перебір вхідних значень змінних х1х2 , побачимо, що проаналізувати стан схеми неможливо без допоміжних умов, які необхідно задавати заздалегідь;

· по-третє, схема має позитивний зворотній зв’язок, подібно до генераторних схем, наслідком чого є те, що зміна станів окремих елементів відбувається майже одночасно для всіх елементів.

У цифровій схемотехніці такі схеми називаються тригерними схемами, або частіше просто тригерами.

У загальному вигляді тригерна схема має вигляд, що відповідає рис. 4.2.

 

 

У цифрових пристроях використовується велика кількість різноманітних тригерів, але всі вони мають у своєму складі елемент пам’яті (ЕП) та схему керування (СК), яка має два виходи – прямий Qта інверсний , котрі можуть приймати значення 1 або 0. Керування елементом пам’яті відбувається за допомогою входів S (Set – установлення стану Q= 1, ) та R (Reset – повернення до початкового стану Q = 0, ). Логічні значення сигналів залежать від значень вхідних логічних змінних х1хn, сигналів синхронізації c1cn, станів виходів елемента пам’яті Qта і логіки роботи схеми керування. У залежності від призначення схеми змінюється її логічна функція, кількість входів xn , кількість та характер входів синхронізації.

У зарубіжній інженерній практиці всі тригерні схеми розділяються на дві групи.

Перша з них – flip-flop – характеризується тим, що вибірка вхідних сигналів і відповідна зміна виходів визначається в моменти дії тактових часових сигналів (синхронні тригери).

Особливість другої групи схем – latch – полягає в тому, що вони змінюють свій стан при зміні вхідних сигналів незалежно від наявності чи відсутності часових тактових сигналів.

Вхідні сигнали в залежності від призначення поділяють на: інформаційні, дозволяючі, командні або синхронізуючі.

Відповідно до типів сигналів, поділяють і входи складних схем тригерів, які познача­ють літерами абетки згідно з табл. 4.1.

Через те, що функціональні властивості тригера залежать від вхідної логіки, назви інформаційних входів переносяться на всю схему. Широке використання знаходять RS-, D-, DV-, JK-, T-, TV-тригери, особливості яких розглядаються нижче.

 

Таблиця 4.1.

Позначення входу Призначення
S Вхід установки тригерів у стан Q = 1
R Вхід установки тригерів у стан Q = 0
J Вхід установки JK-тригерів у стан Q = 1
K Вхід установки JK-тригерів у стан Q = 0
D Вхід завантаження інформації у D-тригер
T Тактовий вхід Т-тригерів
V Підготовчий вхід дозволу прийому інформації
C Вхід синхронізації. Виконавчий вхід прийому інформації

 

 

Незалежно від функціональних властивостей, всі тригери за способом введення ін­формації поділяють на дві групи – асинхронні та синхронні.

Асинхронні тригери, подібно до розглянутого вище RS-тригера, змінюють свій стан безпосередньо після зміни інформаційних сигналів.

Для спрацювання синхронних тригерів наявності інформаційних сигналів недостатньо. Допоміжно необхідна ще наявність синхроімпульсу (тактового імпульсу) на спеціальному тактовому С-вході. Тактові імпульси створюються за допомогою тактових генераторів і використовуються всім цифровим пристроєм. У синхронних схемах інформаційні сигнали (тобто дані, що записуються в тригер) готуються і подаються на відповідні входи раніше, ніж синхросигнали. При цьому для надійного запису інформації необхідними є відповідні часові співвідношення.

У довідковій літературі на будь-який тригер даються два параметри:

· час затримки розповсюдження сигналу на вмикання tЗ 01 ;

· час затримки розповсюдження сигналу на вимикання tЗ 10 .

Інтервали tЗ 01 і tЗ 10 – це мінімальні інтервали часу, що визначають мінімальну тривалість вхідних імпульсів, які повинні подаватися на тригер.

Для синхронних тригерів часові інтервали характеризуються ще двома параметрами, які є характерними не тільки для тригерних схем.

Перший з них – час підготовки tП (в англомовній літературі – Setup time, позначається як tSU). Це мінімальний інтервал часу, протягом якого інформаційний сигнал на вході тригера повинен залишатися незмінним до подачі синхросигналу. За цей час всі перехідні процеси установлення інформаційного сигналу повинні завершитися.

Другий часовий параметр – час затримки (підтримки) tЗ (в англомовній літературі – Hold time, tH). Це мінімальний інтервал часу з моменту подачі синхросигналу, протягом якого інформаційний сигнал повинен залишатися незмінним.

Синхронні тригери поділяють на схеми зі статичним та з динамічним керуванням. Характерним для статичних тригерів є те, що при активному стані тактового входу (наявність одиничного рівня потенціалу) вони поводять себе як асинхронні. Ця властивість у багатьох випадках є суттєвим недоліком синхронних тригерів зі статичним тактовим входом, адже може призводити до порушень у роботі при наявності перешкод.

 

RS-тригери

Схема RS-тригера, зібраного на логічних елементах 2АБО-НІ, приведена на рис. 4.3, а. Вона відрізняється від схеми, приведеної на рис. 4.1, тільки позначеннями входів та виходів.

Завдяки симетричному вигляду, вона часто називається симетричним RS-тригером (але зовнішня симетрія не означає симетрію електричних режимів роботи логічних елементів DD1, DD2). На рис. 4.3, б приведено його умовне позначення.

 

Рис.4.3.

 

Стан тригера часто ототожнюється з сигналом на прямому виході Q.

Особливість тригерних схем, на відміну від комбінаційних, полягає в тому, що будь-який із станів є стійким при відсутності вхідних сигналів.

Припустимо, що R = S = 0, а . Тоді на виході елемента DD1 зберігатиметься значення Q= 0, яке забезпечує значення виходу елемента DD2, тобто підтверджує нульовий стан тригера.

Припустимо, що у цьому стані на входи тригера подано комбінацію потенційних сигналів R = 0, S = 1. Тоді вихід елемента DD2 прийме значення , а вихід елемента DD1 прийме значення Q= 1. Тобто вказаною комбінацією вхідних сигналів ми запишемо сигнал S = 1 у тригер як один біт інформації. Змінити стан тригера на попередній можливо протилежною комбінацією вхідних сигналів R = 1, S = 0. Якщо ми повторимо подачу цієї комбінації вхідних сигналів при Q = 0, , то стан тригера не зміниться. Таким чином, стан виходів тригера в момент часу, наступний за моментом подачі вхідних сигналів, залежить не тільки від комбінації вхідних сигналів, але й від попереднього стану його виходів. Тому для аналізу схеми необхідне розподілення станів входів та виходів тригера у часі. Для цього попередні стани позначаються індексом n : Sn , Rn , Qn . Наступний стан тригера, в який той переходить у результаті дії комбінації вхідних сигналів з урахуванням значень виходів у n-й момент часу, позначається як Qn+1, . Завдяки такому розподіленню станів та вхідних сигналів у часі з’являється можливість використовувати логічні функції для запису алгоритму роботи тригерів.

Повна таблиця станів RS-тригера (табл. 4.2) описує особливості його роботи. Звернемо увагу на те, що при Sn = Rn = 1, незалежно від Qn , стан виходів тригера є невизначеним. Дійсно, якщо подати на обидва входи таку комбінацію сигналів, то на обох виходах з’являться логічні нулі (Qn+1 = = 0). Але якщо ці сигнали одночасно зняти, задавши Qn+1 = Rn+1 = 0, то стан виходів буде невизначеним. Це пов’язано з тим, що після зняття сигналів у кожному з логічних елементів буде протікати перехідний процес зміни станів, і результат його залежатиме від швидкодії елементів. Остання є величиною невизначеною. Тому розглянута комбінація вхідних сигналів називається невизначеною комбінацією, а перехід від такої комбінації до нульової – забороненим переходом. Фактично це означає, що при проектуванні цифрових пристроїв необхідно приймати міри для виключення подібних ситуацій.

 

 

 

Представивши табл. 4.2 y формі карти Карно (рис. 4.5), помічаємо наступну особливість логічної функції: при значеннях Sn = Rn = 0 маємо Qn+1 = Qn, а для решти комбінацій входів значення виходу Qn+1 не залежить від значення Qn . Це дає можливість мінімізувати табл. 4.2 (див. табл. 4.3) та одержати характеристичне рівняння тригера:

 

(4.1)

 

Перетворюючи рівняння (4.1) в базиси логічних функцій І-НІ, одержуємо:

 

  Рис.4.5. (4.2)
  Цим рівнянням відповідає схема рис. 4.5. Перше з них виконане на DD1, а друге на DD2. Цей тригер працює в інверсних кодах.  

 

Зміна станів тригерних схем при подачі різних послідовностей вхідних сигналів зображується за допомогою графа переходів (рис. 4.6).

Рис.4.6.

 

Розглянемо детальніше особливості побудови графа переходів тригера. Виходячи з таблиці станів, можемо розглядати тригер як пристрій з одним виходом Q (Qn+1), оскільки другий вихід ( ) є лише інверсією першого. Вихід Qможе приймати два значення. Позначимо їх q0= 0 і q1 = 1 як дві вершини графа. Вектор впливаючих сигналів позначимо буквою ρ. Він може приймати, у відповідності до табл. 4.3, значення , , . Вектор ρ0 не може змінювати стани тригера. Таку особливість на граф-схемі (рис. 4.6, а) зобразимо у вигляді дуги, що виходить з вершин і замикається на них. Вектор ρ1 переводить тригер у стан q1 = 1 і на граф-схемі зображується у вигляді дуги, що виходить з вершини q0 і закінчується в q1. Якщо тригер знаходиться в стані q1, то впливаючий сигнал ρ1 не змінить стану тригера. На граф-схемі це дуга, що замикається на стані q1. Аналогічно, впливаючий сигнал ρ2 переводить тригер зі стану q1 в q0 , а в стані q0 не впливає на нього. Оскільки перехід з q1 в q1 забезпечується двома сигналами з однаковим результатом, то поєднаємо його як:

. (4.3)

Аналогічно маємо:

. (4.4)

В результаті граф-схема переходів RS-тригера прийме вигляд рис. 4.6, б.

RS-тригери у багатьох випадках використовуються як самостійні пристрої в тих ситуаціях, коли одним сигналом необхідно встановити якусь умову, а іншим – її зняти. Таке їх використання передбачається у контролерах і мікроконтролерах у складі регістрах ознак. Здебільшого RS-тригери використовуються у складі більш складних схем тригерів, модулів пам’яті. Вони знаходять широке використання в пристроях електронної автоматики.

D-тригери

 
 

Функціональна особливість тригерів цього типу полягає в тому, що сигнал на виході Q в (n +1)-ому такті повторює значення сигналу на вході D в n-му такті.

Роботу D-тригера пояснює таблиця його станів (табл. 4.4), з якої витікає, що при Сn = 0 значення Qn+1 = Qn , а при Сn = 1 Qn+1 = Dn . Зобразивши логічну функцію Qn+1 = f (Qn , Cn , Dn )у вигляді карти Карно (рис. 4.7), після мінімізації знаходимо:

. (4.5)

Враховуючи той факт, що друга складова рівняння (4.5) характеризує лише режим зберігання інформації, закон функціонування D-тригера виразиться формулою:

. (4.6)

З (4.6) витікає, що при сигнал і будь-яка зміна вхідного сигналу одразу з’явиться на виході. Тому ці тригери часто називають “прозорими”.

Виходячи з умови ліквідації гонок, з карти Карно отримуємо:

.

Якщо цю формулу перетворити під базис І-НІ, матимемо:

,

яка є законом функціонування цифрового пристрою, відомого як D-тригер Ерла. Схема, побудована на основі отриманої формули, відрізняється від інших найбільшою реальною швидкодією і використовується в різноманітних пристроях обчислювальної техніки.

Граф переходів у відповідності до табл. 4.4 зображений на рис. 4.8.

 

Рис.4.8.

 

Перехід зі стану в стан відбувається лише при , а зворотній – тільки при . При q0 = 0 стан тригера не змінюється при:

. (4.7)

При q1 = 1 стан тригера залишається незмінним за умови:

. (4.8)

D-тригер може бути створений на базі синхронного RS-тригера, якщо на його інформаційні входи одночасно подати взаємно інверсні сигнали D i .

 

Рис.4.9.

 

На рис. 4.9 приведені два варіанти D-тригера (а, б) та його умовне позначення (в).

Розглянемо більш детально роботу кожного з тригерів. Спочатку звернемось до тригера, схема якого приведена на рис. 4.9, а. При С = 1 і D = 0 на входах логічного елемента DD3 будуть сигнали високого рівня, що приведе до появи на вході внутрішнього RS-тригера (ЛЕ DD4, DD5) сигналу низького рівня , а на виході значення і .

При появі сигналу високого рівня лише на вході D буде забезпечуватись значення і , при яких попередня інформація внутрішнього RS-тригера залишається незмінною. Такий режим відображається на часових діаграмах, приведених на рис. 4.10, а, інтервалом t1t2 .

Рис.4.10.

 

При одночасній дії синхросигналу і сигналу високого рівня на вході D (момент часу t2) на виході DD2 встановиться рівень , що приведе до зміни стану внутрішнього тригера, при якому і . Такий стан утримуватиметься до того моменту, поки не відбудеться зміна рівня сигналу з “1” до “0”, що призведе до повернення тригера в початковий стан. Оскільки значення має місце лише при С = 1, то перехід тригера в початковий стан матиме місце при появі наступного тактового імпульсу.

У тригері, схема якого зображена на рис. 4.9, б, така ж функція забезпечується тим, що один з входів DD2 приєднаний до виходу DD1. При значенні дозволяючого входу V = 1 тригер, приведений на рис. 4.9, б, працює аналогічно вище описаному.

При практичному використанні D-тригерів у них фіксується значення сигналу D у момент відповідної зміни сигналу С. Тому в літературі такі тригери часто називають фіксаторами (рос. “защёлка”) (Latch).

У загальному випадку логічна функція, що описує роботу D-тригера, має вигляд:

. (4.9)

З точки зору логіки роботи D-тригера, він затримує проходження сигналу, що поступає на D-вхід, на один такт періоду синхросигналу (Delay – затримка). Це добре відображають часові діаграми, приведені на рис. 4.10, а.

Друге призначення D-тригера – зберігати дані (Datas), що надходять по D-входу. Синхросигнали в цьому випадку відіграють роль команди “Запис у тригер”. Особливість схеми проявляється в режимі С = 1. У цьому випадку будь-які зміни (t5 , t6 , t7 , t8 ) на вході D приведуть до відповідних змін на виході Q. Тобто схема виконуватиме просто функцію повторювача сигналів, що присутні на вході D.

Динамічні D-тригери будуються на основі використання двох статичних D-тригерів (рис. 4.11).

 

Рис.4.11. Рис.4.12.

 

 

При С = 0 тригер DD1 відкритий по відношенню до D-входу, тому D-сигнал перезаписується на Q1. При зміні стану синхровходу з 0 в 1 вихід DD3 через інтервал затримки tЗ(DD3) інвертується в нульовий стан, і тригер DD1 стає непрозорим по відношенню до D-входу. В той же час, через інтервал затримки tЗ(DD4) інформація з Q1 перезаписується на вихід Q2 .

Для забезпечення роботи тригера за спадом синхросигналу необхідно зі схеми, приведеної на рис. 4.11, вилучити інвертор DD3.

Динамічні D-тригери знаходять широке використання в пристроях з послідовною передачею інформації з затримкою на такт, а також в пристроях формування імпульсів синхронізації, в пристроях визначення різниці близьких частот та ін.

На рис. 4.12 приведена схема пристрою, призначеного для формування імпульсу синхронізації. Розглянемо його роботу.

Спочатку обидва тригери DD1 та DD2 знаходяться у стані, при якому Q1 = Q2 = 0, а D1 = 1 постійно. Як тільки на вхід C1 буде поданий синхросигнал, за його фронтом на виході Q1 з’явиться сигнал логічної одиниці, який поступає на вхід D тригера DD2. За фронтом наступного імпульсу послідовності СТ вихід тригера DD2 встановиться в одиничний стан, а тригер DD1 за сигналом, що подається на вхід R, перейде у початковий стан. Наступний тактовий імпульс послідовності СТ встановить тригер DD2 у нульовий стан. Тривалість імпульсу на виході другого тригера буде рівною тривалості періоду тактової послідовності СТ, а на виході ЛЕ DD3 з’явиться один тактовий імпульс.

Такий пристрій знаходить використання в цифрових приладах для вимірювання частоти для формування вимірювального інтервалу. На вхід СТ у такому випадку подається зразкова частота, а до входу C1 прикладається імпульс запуску чергового циклу вимірювання. Тривалість імпульсу, що отримується на виході DD2, буде тим вимірювальним інтервалом, який заповнюватиметься імпульсами еталонної частоти, а на виході DD3 отримуватиметься підраховувана кількість імпульсів еталонної частоти.

Прикладом пристрою, використовуваного для віднімання двох частот, може служити синхронний D-тригер, на входи D- і C- якого подаються послідовності імпульсів, частоти яких відрізняються на 30-40%. Якщо імпульси сформовані у вигляді меандрів ( ), то внаслідок поступової зміни фазового зсуву між імпульсними послідовностями фронти імпульсів, що подаються на вхід С, співпадатимуть то з позитивними півперіодами послідовності, що подається на вхід D, то з нульовими. Як наслідок, частота імпульсів на прямому виході Q тригера визначатиметься як модуль різниці частот двох порівнюваних послідовностей.

 

JK-тригери

Цей тип тригерів за логікою роботи подібний до RS-тригерів, але, на відміну від них, не має невизначених переходів.

Скорочена таблиця станів (табл. 4.5) пояснює наведену різницю, якщо прийняти, що S-вхід відповідає J-входу, а R-вхід – відповідно, К-.

 

Таблиця 4.5.

 

З таблиці витікає, що поява комбінації J = К = 1 у кожному такті призводить до зміни стану тригера на протилежний.

На відміну від RS-тригерів, схеми JK-тригерів виготовляються тільки синхронними.

Однотактні тригери відрізняються наявністю зворотних зв’язків з виходів на входи (рис. 4.13), а також елементами часової затримки (елементи DD3, DD4). Стан виходів JK-тригера залежить не тільки від сигналів на входах J та K, але й від логічно пов’язаних з ними сигналів з виходів Q та .

Рис.4.13.

 

Робота асинхронного JK-тригера описується наступним характеристичним рівнянням:

. (4.10)

Для синхронного JK-тригера є справедливим рівняння:

. (4.11)

Розглянемо більш детально роботу тригера. Як і в попередніх схемах, в якості запам’ятовуючого елемента в ньому використаний RS-тригер з входами низького рівня і . Вхідна логіка задає алгоритм функціонування тригера.

При відсутності вхідних сигналів, тобто при Jn = Kn = 0 на виходах DD1 і DD2 зберігаються високі рівні сигналів, які забезпечують режим зберігання для внутрішнього RS-тригера (мікросхеми DD5 і DD6). Низький рівень сигналу на виході DD1 або DD2, який може змінити стан RS-тригера, забезпечується лише при високому рівні на всіх трьох входах відповідного логічного елемента. Оскільки на один з входів ЛЕ DD1 і DD2 сигнал подається з діагонального виходу тригера, то низький рівень сигналу можливий лише на одному з виходів керованої логіки. Цим і досягається усунення проблеми невизначеності виходу при Jn = Kn = 1. Тому при появі такої комбінації вхідних сигналів тригер змінить свій стан на протилежний, незалежно від того, в якому стані він перебував раніше. Дійсно, якщо , , то на виході DD1 з’явиться логічний нуль, який по входу змінить стан тригера, і Q зміниться на “1”. Аналогічна ситуація матиме місце при , . У цьому випадку логічний нуль з’явиться на виході DD2, що по входу перекине RS-тригер у нульовий стан.

Елементи DD3, DD4 часової затримки у цій схемі відіграють роль стабілізаторів станів тригера і безпосереднього впливу на його функціональні властивості не здійснюють. Вони створюють часову затримку між моментом подачі вхідної інформації або та початком формування вихідного стану та . Без цих елементів виникає можливість генерації коливань в зв’язку з тим, що з кожною зміною вхідних сигналів на входах створювалася б комбінація, яка викликала б нову зміну станів тригера. Для уникнення подібної ситуації необхідно, щоб затримка перевищувала інтервал дії тактових сигналів.

Розглянемо приклад. Для JK-тригера MS-типу, схема якого наведена на рис. 4.14, при початкових умовах J = K = Q1 = Q2 = 0 задається наступна послідовність сигналів:

1. J, 0à1; K, 0à0; C – перший імпульс синхронізації;

2. J, 1à1; K, 0à1; C – другий імпульс синхронізації;

3. J, 1à0; K, 1à1; C – третій імпульс синхронізації;

4. J, 0à0; K, 1à0; C – четвертий імпульс синхронізації.

 

Рис.4.14.

 

 

Зміна сигналів на входах J і K відбувається в інтервали часу, коли синхросигнали відсутні. Необхідно побудувати часові діаграми на входах J, K і виходах Q1 , Q2 тригера в інтервали часу, протягом яких були подані чотири синхросигнали С.

Часові діаграми приводяться на рис. 4.15. У момент часу t1 сигнал на вході J переходить з низького рівня в високий. Через інтервал часу t2 , який повинен бути достатнім для усталення перехідних процесів на J-вході, подається сигнал С. Оскільки на вході DD1 в цей час маємо два сигнали високого рівня J і , то на виході DD1 сигнал прийме низький рівень, в той час як вихід ЛЕ DD2 матиме високий рівень логічного сигналу. Таке співвідношення сигналів і приведе до появи на виході Q1 сигналу високого рівня. Вказане розподілення рівнів напруг залишатиметься протягом часу тривалості синхроімпульсу. При спаді синхроімпульсу на виході DD9 з’явиться високий рівень сигналу, який призведе до зміни стану DD5 і, відповідно, перезапису сигналу Q1 на вихід Q2 . У момент t3 з’являється сигнал високого рівня на вході К. На цей час Q2 = 1 і, відповідно, при K = 1, Q2 = 1 поява в t4 другого синхроімпульсу приведе до зміни стану DD2, стане рівним нулю і, відповідно, , Q1 = 0. За спадом другого синхроімпульсу низький рівень перезапишеться на вихід Q2 . У подальшому, при дії синхроімпульсів рівні сигналів не змінюються.

Рис.4.15.

 

Двоступінчаті JK-тригери можуть бути побудовані не тільки на основі RS-тригерів, а також на основі D-тригерів. Останнім при цьому властива відсутність явища „захоплення”, що дозволяє змінювати стани входів J та К при С = 1.

 

Рис.4.16.

 

Прикладом такого тригера є тригер, схема якого зображена на рис. 4.16. Забороняється лише змінювати значення входів J і K у короткі інтервали підготовки перед зрізом синхроімпульсу та витримки одразу після зрізу. Такі тригери раціонально використовувати при прийомі інформації з лінії, що забруднена випадковими перешкодами, адже тригер може відреагувати на них протягом дуже короткого інтервалу часу зрізу, підготовки, витримки.

T- і TV-тригери

До тригерів Т-типу, як вказувалось раніше, відносяться такі схеми, які за сигналом на Т-вхід переключаються у протилежний стан. Це зазвичай тригери з динамічним Т-входом або з динамічним С-входом і статичним Т-входом. У зв’язку з тим, що вони легко можуть бути організовані на базі динамічних D- або JK-тригерів, як самостійні мікросхеми не виготовляються. У зв’язку з їх широким використанням в лічильниках імпульсів, Т-тригери з динамічним Т-входом часто називаються тригерами з лічильним входом, або лічильними тригерами. В залежності від характеру дії Т-динамічного входу, вони часто поділяються на Т-тригери, які спрацьовують за фронтом Т-імпульсу, та -тригери, що спрацьовують за зрізом Т-імпульсу.

Таблиця 4.6.

 

У табл. 4.6 приведений перелік можливих станів обох типів тригерів. З таблиці витікає, що у Т-тригерах, на відміну від раніше розглянутих схем, стан виходу Qn+1 залежить не від значень інформаційних сигналів, а визначається тільки їх станом у попередньому такті.

Рівняння роботи асинхронного тактованого Т-тригера має вигляд:

. (4.12)

Для синхронного Т-тригера маємо:

. (4.13)

Рівняння (4.12) за виглядом співпадає з виразом для логічної функції „ВИКЛ. АБО”, звідки витікає, що Т-тригер виконує операцію складання за модулем 2 вхідної змінної та функції, що визначає вихідний стан тригера. Рис. 4.17 пояснює особливості роботи тригера з прямим керуванням. З рисунку витікає, що, спрацьовуючи за фронтом вхідних імпульсів, він ділить їх частоту на 2.

Рис.4.17.

Рис.4.18.

 

Т-тригер легко можна одержати з JK- або D-тригерів. На рис. 4.18 приводяться приклади створення схем Т-тригерів. Схеми рис. 4.18, а, б зрозумілі без пояснень. D-тригер також можливо перетворити в Т-тригер. Це пояснюється тим, що вихід Qn приймає в такти (n + 1) значення, що було на вході D в n-ому такті. Тому з’єднання D-входу з виходом забезпечує зміну стану Q кожного разу при появі фронту Т-входу.

Тригери ТV, окрім лічильного Т-входу, мають ще й другий – керуючий – вхід для забезпечення дозволу прийому інформації. Рівняння ТV-тригера має вигляд:

. (4.14)

Цей тригер також легко може бути одержаний з JK-тригерів (рис. 4.19).

У першому випадку (рис. 4.19, а) маємо асинхронний Т-тригер, у другому (рис. 4.19, б) – синхронний.

Прикладом реалізації Т-тригера на основі синхронного RS-тригера є схема, що представлена на рис. 4.20, а.

З приведених прикладів видно, що достатньо мати будь-який один тип тригерів, щоб на його основі можна було створювати тригери інших типів. Здебільшого такі перетворення витікають з порівняння алгоритмів роботи тригерів. Як приклад, використовуючи алгоритм роботи RS-тригера:

і

і підставивши і , отримаємо відому формулу:

,

тобто JK-тригер може бути побудований на основі RS-тригера.

Схема такого тригера приведена на рис. 4.20, б.

Таким же шляхом може бути побудований JK-тригер на основі D-тригера. Якщо прийняти, що:

,

то отримаємо схему з D-тригером, що приведена на рис. 4.20, в.

 

Рис.4.20.

 

Варіанти взаємних перетворень тригерів, приведені на рис. 4.18 і рис. 4.20, розкривають велику гнучкість і широкі можливості різноманітних тригерних схем.



Дата добавления: 2016-09-26; просмотров: 3661;


Поиск по сайту:

Воспользовавшись поиском можно найти нужную информацию на сайте.

Поделитесь с друзьями:

Считаете данную информацию полезной, тогда расскажите друзьям в соц. сетях.
Poznayka.org - Познайка.Орг - 2016-2024 год. Материал предоставляется для ознакомительных и учебных целей.
Генерация страницы за: 0.055 сек.